From 45986d7b591729e41eac316383553f34728d12d3 Mon Sep 17 00:00:00 2001 From: Vasil Zlatanov Date: Tue, 13 Dec 2016 19:55:32 +0000 Subject: fix wrong overcorrection in index --- part_2/ex9/divider_2500.v | 2 +- part_2/ex9/divider_50000.v | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/part_2/ex9/divider_2500.v b/part_2/ex9/divider_2500.v index 305b2b6..f4322b7 100644 --- a/part_2/ex9/divider_2500.v +++ b/part_2/ex9/divider_2500.v @@ -21,7 +21,7 @@ module divider_2500 ( begin if (clock_ms) begin - if (count < 12'd499) + if (count < 12'd500) begin count <= count + 1'b1; out <= 1'b0; diff --git a/part_2/ex9/divider_50000.v b/part_2/ex9/divider_50000.v index d50d5b0..6ce09f9 100644 --- a/part_2/ex9/divider_50000.v +++ b/part_2/ex9/divider_50000.v @@ -17,7 +17,7 @@ module divider_50000 ( always @ (posedge clock) begin - if (count < 16'd49999) + if (count < 16'd50000) begin count <= count + 1'b1; out <= 1'b0; -- cgit v1.2.3