summaryrefslogtreecommitdiff
path: root/part_3/ex15/output_files/ex15_top.map.rpt
diff options
context:
space:
mode:
Diffstat (limited to 'part_3/ex15/output_files/ex15_top.map.rpt')
-rw-r--r--part_3/ex15/output_files/ex15_top.map.rpt742
1 files changed, 742 insertions, 0 deletions
diff --git a/part_3/ex15/output_files/ex15_top.map.rpt b/part_3/ex15/output_files/ex15_top.map.rpt
new file mode 100644
index 0000000..063db54
--- /dev/null
+++ b/part_3/ex15/output_files/ex15_top.map.rpt
@@ -0,0 +1,742 @@
+Analysis & Synthesis report for ex15_top
+Mon Dec 05 14:42:33 2016
+Quartus Prime Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition
+
+
+---------------------
+; Table of Contents ;
+---------------------
+ 1. Legal Notice
+ 2. Analysis & Synthesis Summary
+ 3. Analysis & Synthesis Settings
+ 4. Parallel Compilation
+ 5. Analysis & Synthesis Source Files Read
+ 6. Analysis & Synthesis Resource Usage Summary
+ 7. Analysis & Synthesis Resource Utilization by Entity
+ 8. Analysis & Synthesis RAM Summary
+ 9. Analysis & Synthesis IP Cores Summary
+ 10. State Machine - |ex15_top|spi2dac:SPI0|sr_state
+ 11. State Machine - |ex15_top|spi2adc:SPI1|sr_state
+ 12. Registers Removed During Synthesis
+ 13. Removed Registers Triggering Further Register Optimizations
+ 14. General Register Statistics
+ 15. Multiplexer Restructuring Statistics (Restructuring Performed)
+ 16. Source assignments for ROM:ROM0|altsyncram:altsyncram_component|altsyncram_e8g1:auto_generated
+ 17. Parameter Settings for User Entity Instance: divider_5000:DIV0
+ 18. Parameter Settings for User Entity Instance: spi2adc:SPI1
+ 19. Parameter Settings for User Entity Instance: ROM:ROM0|altsyncram:altsyncram_component
+ 20. Parameter Settings for User Entity Instance: spi2dac:SPI0
+ 21. altsyncram Parameter Settings by Entity Instance
+ 22. Port Connectivity Checks: "bin2bcd_16:BIN0|add3_ge5:A18"
+ 23. Port Connectivity Checks: "bin2bcd_16:BIN0|add3_ge5:A9"
+ 24. Port Connectivity Checks: "bin2bcd_16:BIN0|add3_ge5:A3"
+ 25. Port Connectivity Checks: "bin2bcd_16:BIN0"
+ 26. Port Connectivity Checks: "MULT_accum_u5f:MULT10K"
+ 27. Port Connectivity Checks: "spi2adc:SPI1"
+ 28. Post-Synthesis Netlist Statistics for Top Partition
+ 29. Elapsed Time Per Partition
+ 30. Analysis & Synthesis Messages
+ 31. Analysis & Synthesis Suppressed Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2016 Altera Corporation. All rights reserved.
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, the Altera Quartus Prime License Agreement,
+the Altera MegaCore Function License Agreement, or other
+applicable license agreement, including, without limitation,
+that your use is for the sole purpose of programming logic
+devices manufactured by Altera and sold by Altera or its
+authorized distributors. Please refer to the applicable
+agreement for further details.
+
+
+
++-----------------------------------------------------------------------------------+
+; Analysis & Synthesis Summary ;
++---------------------------------+-------------------------------------------------+
+; Analysis & Synthesis Status ; Successful - Mon Dec 05 14:42:33 2016 ;
+; Quartus Prime Version ; 16.0.0 Build 211 04/27/2016 SJ Standard Edition ;
+; Revision Name ; ex15_top ;
+; Top-level Entity Name ; ex15_top ;
+; Family ; Cyclone V ;
+; Logic utilization (in ALMs) ; N/A ;
+; Total registers ; 77 ;
+; Total pins ; 34 ;
+; Total virtual pins ; 0 ;
+; Total block memory bits ; 20 ;
+; Total DSP Blocks ; 0 ;
+; Total HSSI RX PCSs ; 0 ;
+; Total HSSI PMA RX Deserializers ; 0 ;
+; Total HSSI TX PCSs ; 0 ;
+; Total HSSI PMA TX Serializers ; 0 ;
+; Total PLLs ; 0 ;
+; Total DLLs ; 0 ;
++---------------------------------+-------------------------------------------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Settings ;
++---------------------------------------------------------------------------------+--------------------+--------------------+
+; Option ; Setting ; Default Value ;
++---------------------------------------------------------------------------------+--------------------+--------------------+
+; Device ; 5CSEMA5F31C6 ; ;
+; Top-level entity name ; ex15_top ; ex15_top ;
+; Family name ; Cyclone V ; Cyclone V ;
+; Use smart compilation ; Off ; Off ;
+; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ;
+; Enable compact report table ; Off ; Off ;
+; Restructure Multiplexers ; Auto ; Auto ;
+; MLAB Add Timing Constraints For Mixed-Port Feed-Through Mode Setting Don't Care ; Off ; Off ;
+; Create Debugging Nodes for IP Cores ; Off ; Off ;
+; Preserve fewer node names ; On ; On ;
+; Disable OpenCore Plus hardware evaluation ; Off ; Off ;
+; Verilog Version ; Verilog_2001 ; Verilog_2001 ;
+; VHDL Version ; VHDL_1993 ; VHDL_1993 ;
+; State Machine Processing ; Auto ; Auto ;
+; Safe State Machine ; Off ; Off ;
+; Extract Verilog State Machines ; On ; On ;
+; Extract VHDL State Machines ; On ; On ;
+; Ignore Verilog initial constructs ; Off ; Off ;
+; Iteration limit for constant Verilog loops ; 5000 ; 5000 ;
+; Iteration limit for non-constant Verilog loops ; 250 ; 250 ;
+; Add Pass-Through Logic to Inferred RAMs ; On ; On ;
+; Infer RAMs from Raw Logic ; On ; On ;
+; Parallel Synthesis ; On ; On ;
+; DSP Block Balancing ; Auto ; Auto ;
+; NOT Gate Push-Back ; On ; On ;
+; Power-Up Don't Care ; On ; On ;
+; Remove Redundant Logic Cells ; Off ; Off ;
+; Remove Duplicate Registers ; On ; On ;
+; Ignore CARRY Buffers ; Off ; Off ;
+; Ignore CASCADE Buffers ; Off ; Off ;
+; Ignore GLOBAL Buffers ; Off ; Off ;
+; Ignore ROW GLOBAL Buffers ; Off ; Off ;
+; Ignore LCELL Buffers ; Off ; Off ;
+; Ignore SOFT Buffers ; On ; On ;
+; Limit AHDL Integers to 32 Bits ; Off ; Off ;
+; Optimization Technique ; Balanced ; Balanced ;
+; Carry Chain Length ; 70 ; 70 ;
+; Auto Carry Chains ; On ; On ;
+; Auto Open-Drain Pins ; On ; On ;
+; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ;
+; Auto ROM Replacement ; On ; On ;
+; Auto RAM Replacement ; On ; On ;
+; Auto DSP Block Replacement ; On ; On ;
+; Auto Shift Register Replacement ; Auto ; Auto ;
+; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ;
+; Auto Clock Enable Replacement ; On ; On ;
+; Strict RAM Replacement ; Off ; Off ;
+; Allow Synchronous Control Signals ; On ; On ;
+; Force Use of Synchronous Clear Signals ; Off ; Off ;
+; Auto Resource Sharing ; Off ; Off ;
+; Allow Any RAM Size For Recognition ; Off ; Off ;
+; Allow Any ROM Size For Recognition ; Off ; Off ;
+; Allow Any Shift Register Size For Recognition ; Off ; Off ;
+; Use LogicLock Constraints during Resource Balancing ; On ; On ;
+; Ignore translate_off and synthesis_off directives ; Off ; Off ;
+; Timing-Driven Synthesis ; On ; On ;
+; Report Parameter Settings ; On ; On ;
+; Report Source Assignments ; On ; On ;
+; Report Connectivity Checks ; On ; On ;
+; Ignore Maximum Fan-Out Assignments ; Off ; Off ;
+; Synchronization Register Chain Length ; 3 ; 3 ;
+; PowerPlay Power Optimization During Synthesis ; Normal compilation ; Normal compilation ;
+; HDL message level ; Level2 ; Level2 ;
+; Suppress Register Optimization Related Messages ; Off ; Off ;
+; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ;
+; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ;
+; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ;
+; Clock MUX Protection ; On ; On ;
+; Auto Gated Clock Conversion ; Off ; Off ;
+; Block Design Naming ; Auto ; Auto ;
+; SDC constraint protection ; Off ; Off ;
+; Synthesis Effort ; Auto ; Auto ;
+; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ;
+; Pre-Mapping Resynthesis Optimization ; Off ; Off ;
+; Analysis & Synthesis Message Level ; Medium ; Medium ;
+; Disable Register Merging Across Hierarchies ; Auto ; Auto ;
+; Resource Aware Inference For Block RAM ; On ; On ;
+; Synthesis Seed ; 1 ; 1 ;
+; Automatic Parallel Synthesis ; On ; On ;
+; Partial Reconfiguration Bitstream ID ; Off ; Off ;
++---------------------------------------------------------------------------------+--------------------+--------------------+
+
+
++------------------------------------------+
+; Parallel Compilation ;
++----------------------------+-------------+
+; Processors ; Number ;
++----------------------------+-------------+
+; Number detected on machine ; 8 ;
+; Maximum allowed ; 4 ;
+; ; ;
+; Average used ; 1.00 ;
+; Maximum used ; 4 ;
+; ; ;
+; Usage by Processor ; % Time Used ;
+; Processor 1 ; 100.0% ;
+; Processors 2-4 ; 0.0% ;
++----------------------------+-------------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Source Files Read ;
++----------------------------------+-----------------+----------------------------------------+----------------------------------------------------------------------+---------+
+; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ;
++----------------------------------+-----------------+----------------------------------------+----------------------------------------------------------------------+---------+
+; ../mylib/spi2adc.v ; yes ; User Verilog HDL File ; C:/VERI/part_3/mylib/spi2adc.v ; ;
+; ../mylib/spi2dac.v ; yes ; User Verilog HDL File ; C:/VERI/part_3/mylib/spi2dac.v ; ;
+; ../mylib/pwm.v ; yes ; User Verilog HDL File ; C:/VERI/part_3/mylib/pwm.v ; ;
+; ../mylib/hex_to_7seg.v ; yes ; User Verilog HDL File ; C:/VERI/part_3/mylib/hex_to_7seg.v ; ;
+; ../mylib/divider_5000.v ; yes ; User Verilog HDL File ; C:/VERI/part_3/mylib/divider_5000.v ; ;
+; ../mylib/bin2bcd_16.v ; yes ; User Verilog HDL File ; C:/VERI/part_3/mylib/bin2bcd_16.v ; ;
+; ../mylib/add3_ge5.v ; yes ; User Verilog HDL File ; C:/VERI/part_3/mylib/add3_ge5.v ; ;
+; ex15_top.v ; yes ; User Verilog HDL File ; C:/VERI/part_3/ex15/ex15_top.v ; ;
+; ROM.v ; yes ; User Wizard-Generated File ; C:/VERI/part_3/ex15/ROM.v ; ;
+; MULT.v ; yes ; User Wizard-Generated File ; C:/VERI/part_3/ex15/MULT.v ; ;
+; altsyncram.tdf ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/altsyncram.tdf ; ;
+; stratix_ram_block.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/stratix_ram_block.inc ; ;
+; lpm_mux.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/lpm_mux.inc ; ;
+; lpm_decode.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/lpm_decode.inc ; ;
+; aglobal160.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/aglobal160.inc ; ;
+; a_rdenreg.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/a_rdenreg.inc ; ;
+; altrom.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/altrom.inc ; ;
+; altram.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/altram.inc ; ;
+; altdpram.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/altdpram.inc ; ;
+; db/altsyncram_e8g1.tdf ; yes ; Auto-Generated Megafunction ; C:/VERI/part_3/ex15/db/altsyncram_e8g1.tdf ; ;
+; /veri/part_3/ex13/rom_data.mif ; yes ; Auto-Found Memory Initialization File ; /veri/part_3/ex13/rom_data.mif ; ;
++----------------------------------+-----------------+----------------------------------------+----------------------------------------------------------------------+---------+
+
+
++--------------------------------------------------------------+
+; Analysis & Synthesis Resource Usage Summary ;
++---------------------------------------------+----------------+
+; Resource ; Usage ;
++---------------------------------------------+----------------+
+; Estimate of Logic utilization (ALMs needed) ; 79 ;
+; ; ;
+; Combinational ALUT usage for logic ; 124 ;
+; -- 7 input functions ; 0 ;
+; -- 6 input functions ; 23 ;
+; -- 5 input functions ; 20 ;
+; -- 4 input functions ; 33 ;
+; -- <=3 input functions ; 48 ;
+; ; ;
+; Dedicated logic registers ; 77 ;
+; ; ;
+; I/O pins ; 34 ;
+; Total MLAB memory bits ; 0 ;
+; Total block memory bits ; 20 ;
+; ; ;
+; Total DSP Blocks ; 0 ;
+; ; ;
+; Maximum fan-out node ; CLOCK_50~input ;
+; Maximum fan-out ; 58 ;
+; Total fan-out ; 764 ;
+; Average fan-out ; 2.74 ;
++---------------------------------------------+----------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Resource Utilization by Entity ;
++-------------------------------------------+-------------------+--------------+-------------------+------------+------+--------------+-----------------------------------------------------------------------------------+-----------------+--------------+
+; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Block Memory Bits ; DSP Blocks ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ;
++-------------------------------------------+-------------------+--------------+-------------------+------------+------+--------------+-----------------------------------------------------------------------------------+-----------------+--------------+
+; |ex15_top ; 124 (1) ; 77 (0) ; 20 ; 0 ; 34 ; 0 ; |ex15_top ; ex15_top ; work ;
+; |MULT_accum_u5f:MULT10K| ; 11 (11) ; 11 (11) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|MULT_accum_u5f:MULT10K ; MULT_accum_u5f ; work ;
+; |ROM:ROM0| ; 0 (0) ; 0 (0) ; 20 ; 0 ; 0 ; 0 ; |ex15_top|ROM:ROM0 ; ROM ; work ;
+; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 20 ; 0 ; 0 ; 0 ; |ex15_top|ROM:ROM0|altsyncram:altsyncram_component ; altsyncram ; work ;
+; |altsyncram_e8g1:auto_generated| ; 0 (0) ; 0 (0) ; 20 ; 0 ; 0 ; 0 ; |ex15_top|ROM:ROM0|altsyncram:altsyncram_component|altsyncram_e8g1:auto_generated ; altsyncram_e8g1 ; work ;
+; |bin2bcd_16:BIN0| ; 29 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0 ; bin2bcd_16 ; work ;
+; |add3_ge5:A13| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0|add3_ge5:A13 ; add3_ge5 ; work ;
+; |add3_ge5:A14| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0|add3_ge5:A14 ; add3_ge5 ; work ;
+; |add3_ge5:A16| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0|add3_ge5:A16 ; add3_ge5 ; work ;
+; |add3_ge5:A17| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0|add3_ge5:A17 ; add3_ge5 ; work ;
+; |add3_ge5:A20| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0|add3_ge5:A20 ; add3_ge5 ; work ;
+; |add3_ge5:A21| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0|add3_ge5:A21 ; add3_ge5 ; work ;
+; |add3_ge5:A23| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0|add3_ge5:A23 ; add3_ge5 ; work ;
+; |add3_ge5:A24| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0|add3_ge5:A24 ; add3_ge5 ; work ;
+; |add3_ge5:A25| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0|add3_ge5:A25 ; add3_ge5 ; work ;
+; |add3_ge5:A27| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0|add3_ge5:A27 ; add3_ge5 ; work ;
+; |add3_ge5:A28| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0|add3_ge5:A28 ; add3_ge5 ; work ;
+; |add3_ge5:A29| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|bin2bcd_16:BIN0|add3_ge5:A29 ; add3_ge5 ; work ;
+; |divider_5000:DIV0| ; 20 (20) ; 17 (17) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|divider_5000:DIV0 ; divider_5000 ; work ;
+; |hex_to_7seg:SEG0| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|hex_to_7seg:SEG0 ; hex_to_7seg ; work ;
+; |hex_to_7seg:SEG1| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|hex_to_7seg:SEG1 ; hex_to_7seg ; work ;
+; |hex_to_7seg:SEG2| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|hex_to_7seg:SEG2 ; hex_to_7seg ; work ;
+; |hex_to_7seg:SEG3| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|hex_to_7seg:SEG3 ; hex_to_7seg ; work ;
+; |pwm:PWM0| ; 16 (16) ; 21 (21) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|pwm:PWM0 ; pwm ; work ;
+; |spi2adc:SPI1| ; 5 (5) ; 5 (5) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|spi2adc:SPI1 ; spi2adc ; work ;
+; |spi2dac:SPI0| ; 18 (18) ; 23 (23) ; 0 ; 0 ; 0 ; 0 ; |ex15_top|spi2dac:SPI0 ; spi2dac ; work ;
++-------------------------------------------+-------------------+--------------+-------------------+------------+------+--------------+-----------------------------------------------------------------------------------+-----------------+--------------+
+Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis RAM Summary ;
++------------------------------------------------------------------------------------+------+------+--------------+--------------+--------------+--------------+-------+----------------------+
+; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ;
++------------------------------------------------------------------------------------+------+------+--------------+--------------+--------------+--------------+-------+----------------------+
+; ROM:ROM0|altsyncram:altsyncram_component|altsyncram_e8g1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; 1024 ; 10 ; -- ; -- ; 10240 ; ../ex13/rom_data.mif ;
++------------------------------------------------------------------------------------+------+------+--------------+--------------+--------------+--------------+-------+----------------------+
+
+
++------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis IP Cores Summary ;
++--------+--------------+---------+--------------+--------------+--------------------+-----------------+
+; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ;
++--------+--------------+---------+--------------+--------------+--------------------+-----------------+
+; Altera ; ROM: 1-PORT ; 16.0 ; N/A ; N/A ; |ex15_top|ROM:ROM0 ; ROM.v ;
++--------+--------------+---------+--------------+--------------+--------------------+-----------------+
+
+
+Encoding Type: One-Hot
++------------------------------------------------------------------------------------------+
+; State Machine - |ex15_top|spi2dac:SPI0|sr_state ;
++------------------------+---------------+------------------------+------------------------+
+; Name ; sr_state.IDLE ; sr_state.WAIT_CSB_HIGH ; sr_state.WAIT_CSB_FALL ;
++------------------------+---------------+------------------------+------------------------+
+; sr_state.IDLE ; 0 ; 0 ; 0 ;
+; sr_state.WAIT_CSB_FALL ; 1 ; 0 ; 1 ;
+; sr_state.WAIT_CSB_HIGH ; 1 ; 1 ; 0 ;
++------------------------+---------------+------------------------+------------------------+
+
+
+Encoding Type: One-Hot
++------------------------------------------------------------------------------------------+
+; State Machine - |ex15_top|spi2adc:SPI1|sr_state ;
++------------------------+---------------+------------------------+------------------------+
+; Name ; sr_state.IDLE ; sr_state.WAIT_CSB_HIGH ; sr_state.WAIT_CSB_FALL ;
++------------------------+---------------+------------------------+------------------------+
+; sr_state.IDLE ; 0 ; 0 ; 0 ;
+; sr_state.WAIT_CSB_FALL ; 1 ; 0 ; 1 ;
+; sr_state.WAIT_CSB_HIGH ; 1 ; 1 ; 0 ;
++------------------------+---------------+------------------------+------------------------+
+
+
++---------------------------------------------------------------------------------+
+; Registers Removed During Synthesis ;
++----------------------------------------+----------------------------------------+
+; Register name ; Reason for Removal ;
++----------------------------------------+----------------------------------------+
+; spi2dac:SPI0|shift_reg[0] ; Stuck at GND due to stuck port data_in ;
+; spi2adc:SPI1|shift_reg[0..9] ; Stuck at GND due to stuck port data_in ;
+; spi2adc:SPI1|shift_ena ; Lost fanout ;
+; spi2dac:SPI0|shift_reg[1] ; Stuck at GND due to stuck port data_in ;
+; spi2adc:SPI1|data_from_adc[0..9] ; Stuck at GND due to stuck port data_in ;
+; spi2adc:SPI1|adc_done ; Lost fanout ;
+; MULT_accum_u5f:MULT10K|acc_ffa[4..9] ; Lost fanout ;
+; A[1..9] ; Merged with A[0] ;
+; spi2dac:SPI0|ctr[4] ; Merged with spi2adc:SPI1|ctr[4] ;
+; spi2dac:SPI0|ctr[3] ; Merged with spi2adc:SPI1|ctr[3] ;
+; spi2dac:SPI0|ctr[2] ; Merged with spi2adc:SPI1|ctr[2] ;
+; spi2dac:SPI0|ctr[1] ; Merged with spi2adc:SPI1|ctr[1] ;
+; spi2dac:SPI0|ctr[0] ; Merged with spi2adc:SPI1|ctr[0] ;
+; A[0] ; Stuck at GND due to stuck port data_in ;
+; spi2adc:SPI1|adc_cs ; Lost fanout ;
+; spi2adc:SPI1|state[0..4] ; Lost fanout ;
+; spi2adc:SPI1|adc_start ; Lost fanout ;
+; spi2adc:SPI1|clk_1MHz ; Lost fanout ;
+; spi2adc:SPI1|sr_state.WAIT_CSB_FALL ; Lost fanout ;
+; spi2adc:SPI1|sr_state.WAIT_CSB_HIGH ; Lost fanout ;
+; spi2adc:SPI1|sr_state.IDLE ; Lost fanout ;
+; Total Number of Removed Registers = 56 ; ;
++----------------------------------------+----------------------------------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Removed Registers Triggering Further Register Optimizations ;
++-----------------------------------+---------------------------+---------------------------------------------------------------------------------------+
+; Register name ; Reason for Removal ; Registers Removed due to This Register ;
++-----------------------------------+---------------------------+---------------------------------------------------------------------------------------+
+; spi2adc:SPI1|shift_reg[0] ; Stuck at GND ; spi2adc:SPI1|shift_reg[1], spi2adc:SPI1|shift_reg[2], spi2adc:SPI1|shift_reg[3], ;
+; ; due to stuck port data_in ; spi2adc:SPI1|shift_reg[4], spi2adc:SPI1|shift_reg[5], spi2adc:SPI1|shift_reg[6], ;
+; ; ; spi2adc:SPI1|shift_reg[7], spi2adc:SPI1|shift_reg[8], spi2adc:SPI1|shift_reg[9], ;
+; ; ; spi2adc:SPI1|shift_ena, spi2adc:SPI1|data_from_adc[4], spi2adc:SPI1|data_from_adc[3], ;
+; ; ; spi2adc:SPI1|data_from_adc[2], spi2adc:SPI1|data_from_adc[1], ;
+; ; ; spi2adc:SPI1|data_from_adc[0], spi2adc:SPI1|data_from_adc[5], ;
+; ; ; spi2adc:SPI1|data_from_adc[6], spi2adc:SPI1|data_from_adc[7], ;
+; ; ; spi2adc:SPI1|data_from_adc[8], spi2adc:SPI1|data_from_adc[9], spi2adc:SPI1|adc_done, ;
+; ; ; MULT_accum_u5f:MULT10K|acc_ffa[6], MULT_accum_u5f:MULT10K|acc_ffa[7], ;
+; ; ; MULT_accum_u5f:MULT10K|acc_ffa[8], MULT_accum_u5f:MULT10K|acc_ffa[9], ;
+; ; ; spi2adc:SPI1|adc_cs, spi2adc:SPI1|state[0], spi2adc:SPI1|state[1], ;
+; ; ; spi2adc:SPI1|state[2], spi2adc:SPI1|state[3], spi2adc:SPI1|state[4], ;
+; ; ; spi2adc:SPI1|adc_start, spi2adc:SPI1|clk_1MHz, spi2adc:SPI1|sr_state.WAIT_CSB_FALL, ;
+; ; ; spi2adc:SPI1|sr_state.WAIT_CSB_HIGH, spi2adc:SPI1|sr_state.IDLE ;
+; spi2dac:SPI0|shift_reg[0] ; Stuck at GND ; spi2dac:SPI0|shift_reg[1] ;
+; ; due to stuck port data_in ; ;
+; MULT_accum_u5f:MULT10K|acc_ffa[4] ; Lost Fanouts ; MULT_accum_u5f:MULT10K|acc_ffa[5] ;
++-----------------------------------+---------------------------+---------------------------------------------------------------------------------------+
+
+
++------------------------------------------------------+
+; General Register Statistics ;
++----------------------------------------------+-------+
+; Statistic ; Value ;
++----------------------------------------------+-------+
+; Total registers ; 77 ;
+; Number of registers using Synchronous Clear ; 27 ;
+; Number of registers using Synchronous Load ; 9 ;
+; Number of registers using Asynchronous Clear ; 0 ;
+; Number of registers using Asynchronous Load ; 0 ;
+; Number of registers using Clock Enable ; 10 ;
+; Number of registers using Preset ; 0 ;
++----------------------------------------------+-------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------------+
+; Multiplexer Restructuring Statistics (Restructuring Performed) ;
++--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------------+
+; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ;
++--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------------+
+; 6:1 ; 3 bits ; 12 LEs ; 6 LEs ; 6 LEs ; No ; |ex15_top|spi2dac:SPI0|Selector0 ;
++--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------------+
+
+
++------------------------------------------------------------------------------------------------+
+; Source assignments for ROM:ROM0|altsyncram:altsyncram_component|altsyncram_e8g1:auto_generated ;
++---------------------------------+--------------------+------+----------------------------------+
+; Assignment ; Value ; From ; To ;
++---------------------------------+--------------------+------+----------------------------------+
+; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ;
++---------------------------------+--------------------+------+----------------------------------+
+
+
++----------------------------------------------------------------+
+; Parameter Settings for User Entity Instance: divider_5000:DIV0 ;
++----------------+-------+---------------------------------------+
+; Parameter Name ; Value ; Type ;
++----------------+-------+---------------------------------------+
+; BIT_SZ ; 16 ; Signed Integer ;
++----------------+-------+---------------------------------------+
+Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
+
+
++-----------------------------------------------------------+
+; Parameter Settings for User Entity Instance: spi2adc:SPI1 ;
++----------------+-------+----------------------------------+
+; Parameter Name ; Value ; Type ;
++----------------+-------+----------------------------------+
+; SGL ; 1 ; Unsigned Binary ;
+; MSBF ; 1 ; Unsigned Binary ;
+; TIME_CONSTANT ; 11000 ; Unsigned Binary ;
+; IDLE ; 00 ; Unsigned Binary ;
+; WAIT_CSB_FALL ; 01 ; Unsigned Binary ;
+; WAIT_CSB_HIGH ; 10 ; Unsigned Binary ;
++----------------+-------+----------------------------------+
+Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
+
+
++---------------------------------------------------------------------------------------+
+; Parameter Settings for User Entity Instance: ROM:ROM0|altsyncram:altsyncram_component ;
++------------------------------------+----------------------+---------------------------+
+; Parameter Name ; Value ; Type ;
++------------------------------------+----------------------+---------------------------+
+; BYTE_SIZE_BLOCK ; 8 ; Untyped ;
+; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ;
+; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ;
+; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ;
+; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ;
+; WIDTH_BYTEENA ; 1 ; Untyped ;
+; OPERATION_MODE ; ROM ; Untyped ;
+; WIDTH_A ; 10 ; Signed Integer ;
+; WIDTHAD_A ; 10 ; Signed Integer ;
+; NUMWORDS_A ; 1024 ; Signed Integer ;
+; OUTDATA_REG_A ; CLOCK0 ; Untyped ;
+; ADDRESS_ACLR_A ; NONE ; Untyped ;
+; OUTDATA_ACLR_A ; NONE ; Untyped ;
+; WRCONTROL_ACLR_A ; NONE ; Untyped ;
+; INDATA_ACLR_A ; NONE ; Untyped ;
+; BYTEENA_ACLR_A ; NONE ; Untyped ;
+; WIDTH_B ; 1 ; Untyped ;
+; WIDTHAD_B ; 1 ; Untyped ;
+; NUMWORDS_B ; 1 ; Untyped ;
+; INDATA_REG_B ; CLOCK1 ; Untyped ;
+; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ;
+; RDCONTROL_REG_B ; CLOCK1 ; Untyped ;
+; ADDRESS_REG_B ; CLOCK1 ; Untyped ;
+; OUTDATA_REG_B ; UNREGISTERED ; Untyped ;
+; BYTEENA_REG_B ; CLOCK1 ; Untyped ;
+; INDATA_ACLR_B ; NONE ; Untyped ;
+; WRCONTROL_ACLR_B ; NONE ; Untyped ;
+; ADDRESS_ACLR_B ; NONE ; Untyped ;
+; OUTDATA_ACLR_B ; NONE ; Untyped ;
+; RDCONTROL_ACLR_B ; NONE ; Untyped ;
+; BYTEENA_ACLR_B ; NONE ; Untyped ;
+; WIDTH_BYTEENA_A ; 1 ; Signed Integer ;
+; WIDTH_BYTEENA_B ; 1 ; Untyped ;
+; RAM_BLOCK_TYPE ; AUTO ; Untyped ;
+; BYTE_SIZE ; 8 ; Untyped ;
+; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ;
+; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ;
+; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ;
+; INIT_FILE ; ../ex13/rom_data.mif ; Untyped ;
+; INIT_FILE_LAYOUT ; PORT_A ; Untyped ;
+; MAXIMUM_DEPTH ; 0 ; Untyped ;
+; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ;
+; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ;
+; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ;
+; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ;
+; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ;
+; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ;
+; ENABLE_ECC ; FALSE ; Untyped ;
+; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ;
+; WIDTH_ECCSTATUS ; 3 ; Untyped ;
+; DEVICE_FAMILY ; Cyclone V ; Untyped ;
+; CBXI_PARAMETER ; altsyncram_e8g1 ; Untyped ;
++------------------------------------+----------------------+---------------------------+
+Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
+
+
++-----------------------------------------------------------+
+; Parameter Settings for User Entity Instance: spi2dac:SPI0 ;
++----------------+-------+----------------------------------+
+; Parameter Name ; Value ; Type ;
++----------------+-------+----------------------------------+
+; BUF ; 1 ; Unsigned Binary ;
+; GA_N ; 1 ; Unsigned Binary ;
+; SHDN_N ; 1 ; Unsigned Binary ;
+; TC ; 11000 ; Unsigned Binary ;
+; IDLE ; 00 ; Unsigned Binary ;
+; WAIT_CSB_FALL ; 01 ; Unsigned Binary ;
+; WAIT_CSB_HIGH ; 10 ; Unsigned Binary ;
++----------------+-------+----------------------------------+
+Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
+
+
++--------------------------------------------------------------------------------------+
+; altsyncram Parameter Settings by Entity Instance ;
++-------------------------------------------+------------------------------------------+
+; Name ; Value ;
++-------------------------------------------+------------------------------------------+
+; Number of entity instances ; 1 ;
+; Entity Instance ; ROM:ROM0|altsyncram:altsyncram_component ;
+; -- OPERATION_MODE ; ROM ;
+; -- WIDTH_A ; 10 ;
+; -- NUMWORDS_A ; 1024 ;
+; -- OUTDATA_REG_A ; CLOCK0 ;
+; -- WIDTH_B ; 1 ;
+; -- NUMWORDS_B ; 1 ;
+; -- ADDRESS_REG_B ; CLOCK1 ;
+; -- OUTDATA_REG_B ; UNREGISTERED ;
+; -- RAM_BLOCK_TYPE ; AUTO ;
+; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ;
++-------------------------------------------+------------------------------------------+
+
+
++----------------------------------------------------------+
+; Port Connectivity Checks: "bin2bcd_16:BIN0|add3_ge5:A18" ;
++-------+-------+----------+-------------------------------+
+; Port ; Type ; Severity ; Details ;
++-------+-------+----------+-------------------------------+
+; in[3] ; Input ; Info ; Stuck at GND ;
++-------+-------+----------+-------------------------------+
+
+
++---------------------------------------------------------+
+; Port Connectivity Checks: "bin2bcd_16:BIN0|add3_ge5:A9" ;
++-------+-------+----------+------------------------------+
+; Port ; Type ; Severity ; Details ;
++-------+-------+----------+------------------------------+
+; in[3] ; Input ; Info ; Stuck at GND ;
++-------+-------+----------+------------------------------+
+
+
++---------------------------------------------------------+
+; Port Connectivity Checks: "bin2bcd_16:BIN0|add3_ge5:A3" ;
++-------+-------+----------+------------------------------+
+; Port ; Type ; Severity ; Details ;
++-------+-------+----------+------------------------------+
+; in[3] ; Input ; Info ; Stuck at GND ;
++-------+-------+----------+------------------------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Port Connectivity Checks: "bin2bcd_16:BIN0" ;
++-------+--------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------+
+; Port ; Type ; Severity ; Details ;
++-------+--------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------+
+; B ; Input ; Warning ; Input port expression (14 bits) is smaller than the input port (16 bits) it drives. Extra input bit(s) "B[15..14]" will be connected to GND. ;
+; BCD_4 ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ;
++-------+--------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Port Connectivity Checks: "MULT_accum_u5f:MULT10K" ;
++--------------+--------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Port ; Type ; Severity ; Details ;
++--------------+--------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; data ; Input ; Warning ; Input port expression (10 bits) is smaller than the input port (20 bits) it drives. Extra input bit(s) "data[19..10]" will be connected to GND. ;
+; result ; Output ; Warning ; Output or bidir port (21 bits) is smaller than the port expression (24 bits) it drives. The 3 most-significant bit(s) in the port expression will be connected to GND. ;
+; result[9..0] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
++--------------+--------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+
+
++------------------------------------------------------------------------------------------------------------------------+
+; Port Connectivity Checks: "spi2adc:SPI1" ;
++--------------+--------+----------+-------------------------------------------------------------------------------------+
+; Port ; Type ; Severity ; Details ;
++--------------+--------+----------+-------------------------------------------------------------------------------------+
+; channel ; Input ; Info ; Stuck at GND ;
+; data_valid ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
+; sdata_to_adc ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
+; adc_cs ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
+; adc_sck ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
++--------------+--------+----------+-------------------------------------------------------------------------------------+
+
+
++-----------------------------------------------------+
+; Post-Synthesis Netlist Statistics for Top Partition ;
++-----------------------+-----------------------------+
+; Type ; Count ;
++-----------------------+-----------------------------+
+; arriav_ff ; 77 ;
+; ENA ; 10 ;
+; SCLR ; 27 ;
+; SLD ; 9 ;
+; plain ; 31 ;
+; arriav_lcell_comb ; 135 ;
+; arith ; 36 ;
+; 1 data inputs ; 35 ;
+; 2 data inputs ; 1 ;
+; normal ; 99 ;
+; 0 data inputs ; 1 ;
+; 1 data inputs ; 12 ;
+; 2 data inputs ; 2 ;
+; 3 data inputs ; 8 ;
+; 4 data inputs ; 33 ;
+; 5 data inputs ; 20 ;
+; 6 data inputs ; 23 ;
+; boundary_port ; 34 ;
+; stratixv_ram_block ; 10 ;
+; ; ;
+; Max LUT depth ; 6.00 ;
+; Average LUT depth ; 2.62 ;
++-----------------------+-----------------------------+
+
+
++-------------------------------+
+; Elapsed Time Per Partition ;
++----------------+--------------+
+; Partition Name ; Elapsed Time ;
++----------------+--------------+
+; Top ; 00:00:02 ;
++----------------+--------------+
+
+
++-------------------------------+
+; Analysis & Synthesis Messages ;
++-------------------------------+
+Info: *******************************************************************
+Info: Running Quartus Prime Analysis & Synthesis
+ Info: Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition
+ Info: Processing started: Mon Dec 05 14:42:18 2016
+Info: Command: quartus_map --read_settings_files=on --write_settings_files=off ex15 -c ex15_top
+Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
+Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected
+Info (12021): Found 1 design units, including 1 entities, in source file /veri/part_3/mylib/spi2adc.v
+ Info (12023): Found entity 1: spi2adc File: C:/VERI/part_3/mylib/spi2adc.v Line: 9
+Info (12021): Found 1 design units, including 1 entities, in source file /veri/part_3/mylib/spi2dac.v
+ Info (12023): Found entity 1: spi2dac File: C:/VERI/part_3/mylib/spi2dac.v Line: 9
+Info (12021): Found 1 design units, including 1 entities, in source file /veri/part_3/mylib/pwm.v
+ Info (12023): Found entity 1: pwm File: C:/VERI/part_3/mylib/pwm.v Line: 1
+Info (12021): Found 1 design units, including 1 entities, in source file /veri/part_3/mylib/hex_to_7seg.v
+ Info (12023): Found entity 1: hex_to_7seg File: C:/VERI/part_3/mylib/hex_to_7seg.v Line: 1
+Info (12021): Found 1 design units, including 1 entities, in source file /veri/part_3/mylib/divider_5000.v
+ Info (12023): Found entity 1: divider_5000 File: C:/VERI/part_3/mylib/divider_5000.v Line: 4
+Info (12021): Found 1 design units, including 1 entities, in source file /veri/part_3/mylib/bin2bcd_16.v
+ Info (12023): Found entity 1: bin2bcd_16 File: C:/VERI/part_3/mylib/bin2bcd_16.v Line: 12
+Info (12021): Found 1 design units, including 1 entities, in source file /veri/part_3/mylib/add3_ge5.v
+ Info (12023): Found entity 1: add3_ge5 File: C:/VERI/part_3/mylib/add3_ge5.v Line: 1
+Info (12021): Found 1 design units, including 1 entities, in source file ex15_top.v
+ Info (12023): Found entity 1: ex15_top File: C:/VERI/part_3/ex15/ex15_top.v Line: 1
+Info (12021): Found 1 design units, including 1 entities, in source file rom.v
+ Info (12023): Found entity 1: ROM File: C:/VERI/part_3/ex15/ROM.v Line: 40
+Info (12021): Found 5 design units, including 5 entities, in source file mult.v
+ Info (12023): Found entity 1: MULT_accum_u5f File: C:/VERI/part_3/ex15/MULT.v Line: 51
+ Info (12023): Found entity 2: MULT_altshiftreg_mda File: C:/VERI/part_3/ex15/MULT.v Line: 514
+ Info (12023): Found entity 3: MULT_altshiftreg_ci9 File: C:/VERI/part_3/ex15/MULT.v Line: 564
+ Info (12023): Found entity 4: MULT_altmemmult_ipq File: C:/VERI/part_3/ex15/MULT.v Line: 611
+ Info (12023): Found entity 5: MULT File: C:/VERI/part_3/ex15/MULT.v Line: 769
+Warning (10236): Verilog HDL Implicit Net warning at ex15_top.v(33): created implicit net for "ADC_SDO" File: C:/VERI/part_3/ex15/ex15_top.v Line: 33
+Warning (10236): Verilog HDL Implicit Net warning at ex15_top.v(34): created implicit net for "ADC_CS" File: C:/VERI/part_3/ex15/ex15_top.v Line: 34
+Warning (10236): Verilog HDL Implicit Net warning at ex15_top.v(35): created implicit net for "ADC_SCK" File: C:/VERI/part_3/ex15/ex15_top.v Line: 35
+Warning (10236): Verilog HDL Implicit Net warning at ex15_top.v(36): created implicit net for "ADC_SDI" File: C:/VERI/part_3/ex15/ex15_top.v Line: 36
+Info (12127): Elaborating entity "ex15_top" for the top level hierarchy
+Info (12128): Elaborating entity "divider_5000" for hierarchy "divider_5000:DIV0" File: C:/VERI/part_3/ex15/ex15_top.v Line: 25
+Info (12128): Elaborating entity "spi2adc" for hierarchy "spi2adc:SPI1" File: C:/VERI/part_3/ex15/ex15_top.v Line: 37
+Info (12128): Elaborating entity "MULT_accum_u5f" for hierarchy "MULT_accum_u5f:MULT10K" File: C:/VERI/part_3/ex15/ex15_top.v Line: 50
+Info (12128): Elaborating entity "bin2bcd_16" for hierarchy "bin2bcd_16:BIN0" File: C:/VERI/part_3/ex15/ex15_top.v Line: 58
+Info (12128): Elaborating entity "add3_ge5" for hierarchy "bin2bcd_16:BIN0|add3_ge5:A1" File: C:/VERI/part_3/mylib/bin2bcd_16.v Line: 26
+Info (12128): Elaborating entity "hex_to_7seg" for hierarchy "hex_to_7seg:SEG0" File: C:/VERI/part_3/ex15/ex15_top.v Line: 60
+Info (12128): Elaborating entity "ROM" for hierarchy "ROM:ROM0" File: C:/VERI/part_3/ex15/ex15_top.v Line: 69
+Info (12128): Elaborating entity "altsyncram" for hierarchy "ROM:ROM0|altsyncram:altsyncram_component" File: C:/VERI/part_3/ex15/ROM.v Line: 82
+Info (12130): Elaborated megafunction instantiation "ROM:ROM0|altsyncram:altsyncram_component" File: C:/VERI/part_3/ex15/ROM.v Line: 82
+Info (12133): Instantiated megafunction "ROM:ROM0|altsyncram:altsyncram_component" with the following parameter: File: C:/VERI/part_3/ex15/ROM.v Line: 82
+ Info (12134): Parameter "address_aclr_a" = "NONE"
+ Info (12134): Parameter "clock_enable_input_a" = "BYPASS"
+ Info (12134): Parameter "clock_enable_output_a" = "BYPASS"
+ Info (12134): Parameter "init_file" = "../ex13/rom_data.mif"
+ Info (12134): Parameter "intended_device_family" = "Cyclone V"
+ Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO"
+ Info (12134): Parameter "lpm_type" = "altsyncram"
+ Info (12134): Parameter "numwords_a" = "1024"
+ Info (12134): Parameter "operation_mode" = "ROM"
+ Info (12134): Parameter "outdata_aclr_a" = "NONE"
+ Info (12134): Parameter "outdata_reg_a" = "CLOCK0"
+ Info (12134): Parameter "widthad_a" = "10"
+ Info (12134): Parameter "width_a" = "10"
+ Info (12134): Parameter "width_byteena_a" = "1"
+Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_e8g1.tdf
+ Info (12023): Found entity 1: altsyncram_e8g1 File: C:/VERI/part_3/ex15/db/altsyncram_e8g1.tdf Line: 28
+Info (12128): Elaborating entity "altsyncram_e8g1" for hierarchy "ROM:ROM0|altsyncram:altsyncram_component|altsyncram_e8g1:auto_generated" File: c:/altera/16.0/quartus/libraries/megafunctions/altsyncram.tdf Line: 792
+Info (12128): Elaborating entity "spi2dac" for hierarchy "spi2dac:SPI0" File: C:/VERI/part_3/ex15/ex15_top.v Line: 79
+Info (12128): Elaborating entity "pwm" for hierarchy "pwm:PWM0" File: C:/VERI/part_3/ex15/ex15_top.v Line: 86
+Warning (12011): Net is missing source, defaulting to GND
+ Warning (12110): Net "MULTRESULT[23]" is missing source, defaulting to GND File: C:/VERI/part_3/ex15/ex15_top.v Line: 20
+ Warning (12110): Net "MULTRESULT[22]" is missing source, defaulting to GND File: C:/VERI/part_3/ex15/ex15_top.v Line: 20
+ Warning (12110): Net "MULTRESULT[21]" is missing source, defaulting to GND File: C:/VERI/part_3/ex15/ex15_top.v Line: 20
+Warning (12011): Net is missing source, defaulting to GND
+ Warning (12110): Net "MULTRESULT[23]" is missing source, defaulting to GND File: C:/VERI/part_3/ex15/ex15_top.v Line: 20
+ Warning (12110): Net "MULTRESULT[22]" is missing source, defaulting to GND File: C:/VERI/part_3/ex15/ex15_top.v Line: 20
+ Warning (12110): Net "MULTRESULT[21]" is missing source, defaulting to GND File: C:/VERI/part_3/ex15/ex15_top.v Line: 20
+Warning (12011): Net is missing source, defaulting to GND
+ Warning (12110): Net "MULTRESULT[23]" is missing source, defaulting to GND File: C:/VERI/part_3/ex15/ex15_top.v Line: 20
+ Warning (12110): Net "MULTRESULT[22]" is missing source, defaulting to GND File: C:/VERI/part_3/ex15/ex15_top.v Line: 20
+ Warning (12110): Net "MULTRESULT[21]" is missing source, defaulting to GND File: C:/VERI/part_3/ex15/ex15_top.v Line: 20
+Warning (12241): 2 hierarchies have connectivity warnings - see the Connectivity Checks report folder
+Warning (13024): Output pins are stuck at VCC or GND
+ Warning (13410): Pin "HEX3[1]" is stuck at GND File: C:/VERI/part_3/ex15/ex15_top.v Line: 15
+Info (286030): Timing-Driven Synthesis is running
+Info (17049): 19 registers lost all their fanouts during netlist optimizations.
+Info (17036): Removed 9 MSB VCC or GND address nodes from RAM block "ROM:ROM0|altsyncram:altsyncram_component|altsyncram_e8g1:auto_generated|ALTSYNCRAM" File: C:/VERI/part_3/ex15/db/altsyncram_e8g1.tdf Line: 32
+Info (144001): Generated suppressed messages file C:/VERI/part_3/ex15/output_files/ex15_top.map.smsg
+Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
+ Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL
+Info (21057): Implemented 188 device resources after synthesis - the final resource count might be different
+ Info (21058): Implemented 1 input pins
+ Info (21059): Implemented 33 output pins
+ Info (21061): Implemented 144 logic cells
+ Info (21064): Implemented 10 RAM segments
+Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 20 warnings
+ Info: Peak virtual memory: 901 megabytes
+ Info: Processing ended: Mon Dec 05 14:42:33 2016
+ Info: Elapsed time: 00:00:15
+ Info: Total CPU time (on all processors): 00:00:29
+
+
++------------------------------------------+
+; Analysis & Synthesis Suppressed Messages ;
++------------------------------------------+
+The suppressed messages can be found in C:/VERI/part_3/ex15/output_files/ex15_top.map.smsg.
+
+