summaryrefslogtreecommitdiff
path: root/part_2/ex5/ex5_top_nativelink_simulation.rpt
blob: 833b429e797f8d78e2c9b23593aeb4e2ca41e401 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
Info: Start Nativelink Simulation process
Info: NativeLink has detected Verilog design -- Verilog simulation models will be used

========= EDA Simulation Settings =====================

Sim Mode              :  RTL
Family                :  cyclonev
Quartus root          :  c:/altera/16.0/quartus/bin64/
Quartus sim root      :  c:/altera/16.0/quartus/eda/sim_lib
Simulation Tool       :  modelsim-altera
Simulation Language   :  verilog
Simulation Mode       :  GUI
Sim Output File       :  
Sim SDF file          :  
Sim dir               :  simulation\modelsim

=======================================================

Info: Starting NativeLink simulation with ModelSim-Altera software
Sourced NativeLink script c:/altera/16.0/quartus/common/tcl/internal/nativelink/modelsim.tcl
Info: Spawning ModelSim-Altera Simulation software